Low-temperature smoothing method of scalloped DRIE trench by post-dry etching process based on SF6 plasma

Autor: Jin Soo Park, Dong-Hyun Kang, Seung Min Kwak, Tae Song Kim, Jung Ho Park, Tae Geun Kim, Seung-Hyub Baek, Byung Chul Lee
Jazyk: angličtina
Rok vydání: 2020
Předmět:
Zdroj: Micro and Nano Systems Letters, Vol 8, Iss 1, Pp 1-8 (2020)
Druh dokumentu: article
ISSN: 2213-9621
DOI: 10.1186/s40486-020-00116-x
Popis: Abstract Deep reactive-ion etching (DRIE) is commonly used for high aspect ratio silicon micromachining. However, scalloping, which is the result of the alternating Bosch process of DRIE, can cause many problems in the subsequent process and degrade device performance. In this work, we propose a simple and effective method to smoothen the scalloping of DRIE trenches. The proposed method utilizes sidewall dry etching by reactive-ion etching (RIE) based sulfur hexafluoride (SF6) plasmas, following the DRIE process. To investigate the effect of the etch parameter on the scallop smoothing effect, the radio frequency (RF) power and gas flow are controlled. After the RIE treatment, the scallop smoothing effects were evaluated by measuring the average scallop depth under each condition. The scallop depth was reduced by 91% after implementing the scallop smoothing technique using RIE. Thus, our smoothening method based on SF6 plasmas would provide broad availabilities and applicability in silicon micromachining with the simple low-temperature process.
Databáze: Directory of Open Access Journals