FAUST: Design and implementation of a pipelined RISC-V vector floating-point unit

Autor: Mate Kovač, Leon Dragić, Branimir Malnar, Francesco Minervini, Oscar Palomar, Carlos Rojas, Mauro Olivieri, Josip Knezović, Mario Kovač
Jazyk: angličtina
Rok vydání: 2023
Předmět:
Popis: We present Faust, a pipelined FPU for vector processing-capable RISC-V core developed within the EPI project. Faust is based on the open-source multi-format floating-point architecture FPnew. Our design extends the support for the RISC-V Vector extension specification (RVV) 1.0 and the most recent IEEE754-2019 FP standard. Faust is extensively tested, mature and configurable enabling ease of integration, as will be demonstrated in the paper. We have also developed FPU-V, an update of the SoftFloat-based reference model as a critical part of the UVM-based universal and extensible FPU verification environment. Faust was integrated and taped out as part of Vitruvius, a RISC-V Vector Processing unit of the EPAC1.0, the first EPI Accelerator Test Chip in GlobalFoundries 22FDX technology.
Databáze: OpenAIRE