(Invited) Optical Lithography Extension Technique with Multiple-Patterning Process

Autor: Masatoshi Yamato, Kenichi Oyama, Arisa Hara, Shohei Yamauchi, Hidetami Yaegashi, Sakurako Natori
Rok vydání: 2014
Předmět:
Zdroj: ECS Transactions. 60:273-278
ISSN: 1938-6737
1938-5862
Popis: The double patterning process has become a technology for extending the life of 193-nm immersion lithography. It is the most useful techniques of advancing downscaling in semiconductors and can theoretically be used scale infinitely down. For the self-aligned type of double patterning, such as self-aligned double patterning (SADP), self-aligned triple patterning (SATP), and self-aligned quadruple patterning (SAQP)[1], we have reported that spacer-pattern processing is more difficult than line-pattern processing since the former includes more fluctuating factors, and that improving the performance of the core pattern is essential to solving this problem. Similarly, as calls for even more improvement in line edge roughness (LER) have come to be made, we have investigated the relationship between the core pattern and LER. Thus, given the importance of finding a means of securing pattern fidelity in the core pattern to improve critical dimension uniformity (CDU) and LER,we improved resist contrast resulting in dramatically reduced LER and improved spacer CD uniformity over the wafer surface. This paper presents the results of observing pattern fidelity in the double patterning process from many aspects and the results of testing a technique for high-accuracy management of pattern fidelity.
Databáze: OpenAIRE