Design and Test of Offset Quadrature Phase-Shift Keying Modulator with GF180MCU Open Source Process Design Kit.

Autor: Mascorro-Guardado, Emma, Ortega-Cisneros, Susana, Baungarten-Leon, Emilio Isaac, Luna-Rodriguez, Luis A., Jaramillo-Toral, Uriel, Hernández-Aramburo, Manuel, Murillo-García, Emanuel
Předmět:
Zdroj: Electronics (2079-9292); May2024, Vol. 13 Issue 9, p1705, 18p
Abstrakt: This article explores the evolution of integrated circuits (I C s) , highlighting the fundamental role of open source Electronic Design Automation (EDA) tools in their development. It describes the IC's design flow, differentiating between Front-end and Back-end design stages, and details the process of implementing the digital stage in offset quadrature phase-shift keying (OQPSK) modulation in an IC, including its hardware description language (HDL), the implementation test in the field-programmable gate array (FPGA), and the physical layout using the first manufactured open source process design kits (PDKs) in Global Foundries' 180 nm, as well as the use of OpenLane and Caravel. To conclude, the results of the physical tests obtained from the digital modulation are presented, as well as the performance of the raised cosine shaping filter. [ABSTRACT FROM AUTHOR]
Databáze: Complementary Index