Coarse-Grained Modeling of EUV Patterning Process Reflecting Photochemical Reactions and Chain Conformations.

Autor: Kim TY; Department of Polymer Engineering, Graduate School, Chonnam National University, Gwangju 61186, Republic of Korea., Kang IH; Department of Applied Physics, Hanyang University, Ansan 15588, Republic of Korea., Park J; Department of Polymer Engineering, Graduate School, Chonnam National University, Gwangju 61186, Republic of Korea.; Pritzker School of Molecular Engineering, The University of Chicago, Chicago, IL 60637, USA., Kim M; Department of Chemistry and Chemical Engineering, Inha University, Incheon 22212, Republic of Korea., Oh HK; Department of Applied Physics, Hanyang University, Ansan 15588, Republic of Korea., Hur SM; Department of Polymer Engineering, Graduate School, Chonnam National University, Gwangju 61186, Republic of Korea.; School of Polymer Science and Engineering, Chonnam National University, Gwangju 61186, Republic of Korea.
Jazyk: angličtina
Zdroj: Polymers [Polymers (Basel)] 2023 Apr 22; Vol. 15 (9). Date of Electronic Publication: 2023 Apr 22.
DOI: 10.3390/polym15091988
Abstrakt: Enabling extreme ultraviolet lithography (EUVL) as a viable and efficient sub-10 nm patterning tool requires addressing the critical issue of reducing line edge roughness (LER). Stochastic effects from random and local variability in photon distribution and photochemical reactions have been considered the primary cause of LER. However, polymer chain conformation has recently attracted attention as an additional factor influencing LER, necessitating detailed computational studies with explicit chain representation and photon distribution to overcome the existing approach based on continuum models and random variables. We developed a coarse-grained molecular simulation model for an EUV patterning process to investigate the effect of chain conformation variation and stochastic effects via photon shot noise and acid diffusion on the roughness of the pattern. Our molecular simulation demonstrated that final LER is most sensitive to the variation in photon distributions, while material distributions and acid diffusion rate also impact LER; thus, the intrinsic limit of LER is expected even at extremely suppressed stochastic effects. Furthermore, we proposed and tested a novel approach to improve the roughness by controlling the initial polymer chain orientation.
Databáze: MEDLINE
Nepřihlášeným uživatelům se plný text nezobrazuje