Zobrazeno 1 - 10
of 31
pro vyhledávání: '"Hidehiro Fujiwara"'
Autor:
Chia-Fu Lee, Cheng-Han Lu, Cheng-En Lee, Haruki Mori, Hidehiro Fujiwara, Yi-Chun Shih, Tan-Li Chou, Yu-Der Chih, Tsung-Yung Jonathan Chang
Publikováno v:
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits).
Autor:
Hidehiro Fujiwara, Haruki Mori, Wei-Chang Zhao, Mei-Chen Chuang, Rawan Naous, Chao-Kai Chuang, Takeshi Hashizume, Dar Sun, Chia-Fu Lee, Kerem Akarvardar, Saman Adham, Tan-Li Chou, Mahmut Ersin Sinangil, Yih Wang, Yu-Der Chih, Yen-Huei Chen, Hung-Jen Liao, Tsung-Yung Jonathan Chang
Publikováno v:
2022 IEEE International Solid- State Circuits Conference (ISSCC).
Publikováno v:
IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 26:2335-2344
We demonstrate a 1-read/1-write two-port (2P) embedded static random access memory macro based on 8T SRAM bitcell with an effective scheme for design of testability. To achieve a smaller macro area, a differential sense amplifier is introduced to rea
Autor:
Jonathan Chang, Yen-Huei Chen, Wei-Min Chan, Sahil Preet Singh, Hank Cheng, Hidehiro Fujiwara, Jih-Yu Lin, Kao-Cheng Lin, John Hung, Robin Lee, Hung-Jen Liao, Jhon-Jhy Liaw, Quincy Li, Chih-Yung Lin, Mu-Chi Chiang, Shien-Yang Wu
Publikováno v:
2017 IEEE International Solid-State Circuits Conference (ISSCC).
Autor:
Yasumasa Tsukamoto, Koji Nii, Kazumasa Yanagisawa, Yuichiro Ishii, Hidehiro Fujiwara, Yuji Kihara, Shinji Tanaka
Publikováno v:
IEEE Journal of Solid-State Circuits. 46:2535-2544
Showing that the worst minimum operating voltage (Vmin) of an 8T dual-port (DP) SRAM is determined by the write/read-disturbing condition with a finite clock skew, we propose a circuit technique to detect the worst Vmin in asynchronous clock operatio
Autor:
Hiroshi Kawaguchi, Hidehiro Fujiwara, Koji Nii, Hiroki Noguchi, Yusuke Iguchi, Masahiko Yoshimoto, Shunsuke Okumura
Publikováno v:
IPSJ Transactions on System LSI Design Methodology. 4:80-90
As process technology is scaled down, a large-capacity SRAM will be used. Its power must be lowered. The Vth variation of the deep-submicron process affects the SRAM operation and its power. This paper compares the macro area, readout power, and oper
Autor:
Masahiko Yoshimoto, Hidehiro Fujiwara, Shunsuke Okumura, Hiroki Noguchi, Yusuke Iguchi, Hiroshi Kawaguchi
Publikováno v:
IEICE Transactions on Electronics. :423-432
SUMMARY This paper proposes a novel dependable SRAM with 7T/14T memory cells, and introduces a new concept, “quality of a bit (QoB)” for it. The proposed SRAM has three modes: a normal mode, highspeed mode, and dependable mode, and dynamically sc
Autor:
Hiroki Noguchi, Yusuke Iguchi, Masahiko Yoshimoto, Hidehiro Fujiwara, Hiroshi Kawaguchi, Yasuhiro Morita, Shunsuke Okumura, Koji Nii
Publikováno v:
IEICE Transactions on Electronics. :543-552
We propose a low-power non-precharge-type two-port SRAM for video processing that exploits statistical similarity in images. To minimize the charge/discharge power on a read bitline, the proposed memory cell (MC) has ten transistors (10T), comprised
Autor:
Hiroshi Kawaguchi, Yasuhiro Morita, Hidehiro Fujiwara, Masahiko Yoshimoto, Koji Nii, Hiroki Noguchi, Yusuke Iguchi
Publikováno v:
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences. :2695-2702
This paper compares areas between a 6T and 8T SRAM cells, in a dual-Vdd scheme and a dynamic voltage scaling (DVS) scheme. In the dual-Vdd scheme, we predict that the area of the 6T cell keep smaller than that of the 8T cell, over feature technology
Autor:
Hiroshi Kawaguchi, Yasuhiro Morita, Junichi Miyakoshi, Shinji Mikami, Masahiko Yoshimoto, Hiroki Noguchi, Koji Nii, Hidehiro Fujiwara, Kentaro Kawakami
Publikováno v:
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences. :3634-3641
元・大学院自然科学研究科
現・神戸大学大学院自然科学研究科
We propose a voltage control scheme for 6T SRAM cells that makes a minimum operation voltage down to 0.3 V under DVS environment. A supply voltage to the
現・神戸大学大学院自然科学研究科
We propose a voltage control scheme for 6T SRAM cells that makes a minimum operation voltage down to 0.3 V under DVS environment. A supply voltage to the